`timescale 1ns / 1ns module decoder3-test; reg [2:0] a; wire [7:0] x; decoder decoder(a, x); initial begin a = 3'b000; #100; a = 3'b001; #100; a = 3'b010; #100; a = 3'b011; #100; a = 3'b100; #100; a = 3'b101; #100; a = 3'b110; #100; a = 3'b111; #100; $finish(2); end initial begin $monitor($time,,"a=%b x=%b", a, x); end endmodule