第5回: 実習(2): FPGAへの論理回路の実装(カウンタ)

今回は、VHDLを用いて記述した順序回路の例として、 カウンタ回路を動作させてみましょう。

8ビットカウンタ

スイッチでカウントを進められる8ビットカウンタをつくってみます。

プロジェクトの作成

以前の実習(1)と同様に、 Xilinx ISE Project Navigatorを使ってVHDL記述の論理回路の 設計と論理合成(コンパイル)を行います。 基本的な操作は、実習(1)の手引き書を参考にしてください。 以下では、異なる点のみを記載しておきます。 全体構成を自分で描いてみてよく理解した上で、実際に動作をさせてみましょう。

シミュレーション

順序回路でもシミュレーションを行うことができます。 (本来は、シミュレーションで動作検証をしてデバッグをして、 その後で実機に転送して動作させる、という順序とするべきです)

順序回路は、基本的にクロック信号に同期して動作するため、 常時変化するクロック信号を与えることになりますが、 シミュレーションで使うテストベンチに、 0→1→0→1→・・・という変化をならべて書くのは非常に面倒なので、 次のように独立したprocess文をテストベンチ内に書いておくと、 自動的に周期的に変化するクロック信号が生成されるので便利です。

  process begin
    wait for 50 ns;
    clk <= not clk;
  end process;
この例では、50nsごとにclkの値を反転されているため、 結果として100ns周期のクロック信号が生成されます。

今回はシミュレーションを行うのは必須としませんが、 余裕のある人はぜひ試してみてください。


戻る