module decoder(a, x); input [1:0] a; output [3:0] x; reg [3:0] x; always @(a) begin case (a) 2'b00 : x <= 4'b0001; 2'b01 : x <= 4'b0010; 2'b10 : x <= 4'b0100; 2'b11 : x <= 4'b1000; default : x <= 4'bxxxx; endcase end endmodule